Tham dự Tech Lounge

Tham dự Tech Lounge


Điều khiển bằng hồng ngoại sử dụng FPGA

vatgia360
5/10/2014 11:13Phản hồi: 2
Ngày nay công nghệ Logic số đã phát triển rất mạnh mẽ và là công nghệ chủ đạo trong việc phát triển các sản phẩm điện-điện tử công nghiệp và dân dụng,điều khiển tự động,viễn thông và công nghệ thông tin.Hiện nay có rất nhiều loại công nghệ logic số khác nhau được sử dụng để thực hiện các thiết kế logicsố.Một trong số đó là công nghệ logic khả trình (Programmable Logic).Một cấu kiện logic có thể lập trình được(PLD) là một IC số mà người dùng có thể cấu hình để chúng có khả năng thực hiện các chức năng logic như mong muốn.Đây là 1 chip LSI có chứa 1 cấu trúc “bình thường” và cho phép nhà thiết kế tạo tùy biến cho nó để dùng cho bất kì một ứng dụng đặc biệt nào,tức là nó có thể được người dùng lập trình để thực hiện một chức năng cần thiết cho ứng dụng của họ. Các PLD có các ưu điểm sau:
Thời gian thiết kế ứng dụng ngắn.
Chi phí phát triển thấp .
Giảm thiếu được yêu cầu khoảng trống trên bảng mạch.
Giảm thiểu được yêu cầu về điện.
Bảo đảm tính bảo mật của thiết kế.
Tốc độ chuyển mạch nhanh hơn.
Mật độ tích hợp cao .
Chi phí sản xuất số lượng lớn thấp.
PLD cũng cho phép nhà thiết kế có nhiều phương tiện linh động hơn để thí nghiệm với các bản thiết kế bởi vì chúng có thể lập trình lại trong vài giây.
Với nhiều ưu điểm như vậy nên hiện nay có một số lượng lớn các PLD được các nhà sản xuất IC tạo ra với nhiều tính năng đa dạng và nhiều tùy chọn có sẵn để nhà thiết kế mạch có thể sử dụng một cách phổ biến.
Cùng với việc phát triển không ngừng của khoa học kĩ thuật hiện nay thì nền công ngệ robot đang phát triển một cách vô cùng mạnh mẽ.Robot được ứng dụng rất nhiều trong các lĩnh vực như công nghiệp,hàng không,vũ trụ,dịch vụ Trào lưu thiết kế robot đang diễn ra một cách sôi nổi nhất là trong bộ phận sinh viên.Nhiều cuộc thi sáng tạo robocon đã thu hút không ít các bạn sinh viên và các trường đại học lớn,tuy nhiên với điều kiện nghiên cứu và kiến thức có hạn nên các mô hình robot không quá phức tạp.Với yêu cầu như vậy thì chọn 1 vi điều khiển như VDK8051(P89V51RD2),VDK PIC(16F877A),VDK AVR(ETEMEGA 16L) là khá phổ biến .Tuy nhiên việc thiết kế phần cứng trong việc điều khiểnrobot là khá phức tạp.Vì vậy song song với việc tìm hiểu một số cấu trúc của PLD như : Mảng logic khả trình(PLA).Logic mảng khả trình(PAL),cấu kiện logic khả trình đơn giản (SPLD), và mảng cổng có thể lập trình theo trường (FPGA). Nhóm chúng em đã chọn thiết kế robot tự hành,nhận biết bằng hồng ngoại và thử nghiệm trên KIT CPLD nhằm tăng khả năng thiết kế logic và tận dụng được những ưu điểm của các PLD như đã nói ở trên.
Link Download
2 bình luận
Chia sẻ

Xu hướng

Cononel
ĐẠI BÀNG
9 năm
FPGA quá khó, chỉ biết nói thế,
điều khiển khó lắm

Xu hướng

Bài mới









  • Chịu trách nhiệm nội dung: Trần Mạnh Hiệp
  • © 2024 Công ty Cổ phần MXH Tinh Tế
  • Địa chỉ: Số 70 Bà Huyện Thanh Quan, P. Võ Thị Sáu, Quận 3, TPHCM
  • Số điện thoại: 02822460095
  • MST: 0313255119
  • Giấy phép thiết lập MXH số 11/GP-BTTTT, Ký ngày: 08/01/2019