Trọng tâm trong chiến lược phục hồi và cạnh tranh của Intel Foundry nằm ở lộ trình tiến trình công nghệ (process roadmap) đầy tham vọng, đặc biệt là việc làm chủ các tiến trình công nghệ ở kỷ nguyên Angstrom (1 Angstrom = 0.1 nanometer). Sau khi hoàn thành mục tiêu "5 Nodes in 4 Years" (5N4Y - hay 5 tiến trình mỗi 4 năm) với việc đưa Intel 3 vào sản xuất hàng loạt và Intel 18A vào giai đoạn sản xuất thử nghiệm, Intel đang hướng tới việc giành lại vị thế dẫn đầu về công nghệ ngay trong năm nay. Lộ trình này không chỉ dừng lại ở Intel 18A mà còn mở rộng ra các tiến trình nâng cấp kế tiếp như Intel 14A, cũng như các biến thể tối ưu hóa cho các ứng dụng cụ thể, thể hiện việc nghiêm túc cam kết đổi mới liên tục với chu kỳ ra mắt tiến trình mới mỗi 2 năm kèm theo các bản nâng cấp.
Được coi như tiến trình công nghệ mang tính chất “bản lề”, Intel 18A đánh dấu sự trở lại của công ty bằng cách kết hợp 2 công nghệ đột phá - RibbonFET và PowerVia - tạo ra lợi thế cạnh tranh rõ rệt so với các đối thủ khác.
RibbonFET (Gate-All-Around GAA) là kiến trúc bán dẫn (transistor) thế hệ mới, thay thế cho FinFET đã được sử dụng trong nhiều năm qua. Trong RibbonFET, cổng (gate) bao quanh đường dẫn (channel) từ mọi phía (thay vì chỉ 3 phía như FinFET), cho phép kiểm soát dòng điện tốt hơn đáng kể. Cấu trúc này sử dụng các "ribbon" (dải băng) vật liệu bán dẫn xếp chồng lên nhau, cho phép tối ưu hóa linh hoạt giữa năng lượng, hiệu năng và diện tích (PPA - Power, Performance, Area) cho từng transistor cụ thể. Ưu điểm chính của RibbonFET so với FinFET có thể kể đến như: giảm dòng rò (leakage current), tăng mật độ bán dẫn và cải thiện hiệu năng trên mỗi watt (performance per watt) lên đến 15%. Tuy nhiên, việc chế tạo cấu trúc GAA mới phức tạp hơn đáng kể so với cấu trúc FinFET, đặt ra những thách thức không nhỏ về kiểm soát quy trình và năng suất.
Còn với PowerVia (Backside Power Delivery Network - BSPDN), Intel là cái tên tiên phong trong ngành công nghiệp đưa công nghệ cấp nguồn mặt sau (BSPDN) vào sản xuất hàng loạt. Thay vì các đường kết nối để cấp nguồn và tín hiệu phải "chen chúc" ở mặt trước của tấm wafer, PowerVia di chuyển toàn bộ mạng lưới cấp nguồn ra mặt sau wafer. Điều này mang lại nhiều lợi ích đáng kể như giải phóng không gian ở các lớp kết nối kim loại phía trước, cho phép tối ưu hóa định tuyến tín hiệu; giảm điện trở (resistance) và độ sụt áp (IR drop) trên đường cấp nguồn (>30%), giúp cải thiện hiệu năng (tăng mức xung hoạt động lên đến 6%) và hiệu quả năng lượng tốt hơn; tăng mật độ sử dụng cell lên hơn 90%; thậm chí có thể đơn giản hóa một số bước quang khắc phức tạp ở mặt trước, dẫn tới tiềm năng giảm chi phí sản xuất. Tuy nhiên, BSPDN cũng đi kèm với những thách thức kỹ thuật mới, bao gồm quy trình làm mỏng wafer (wafer thinning) đến mức cực thấp, tạo các đường kết nối xuyên silicon siêu nhỏ (nano-TSV), căn chỉnh chính xác giữa mặt trước và mặt sau (backside-to-frontside alignment) và quản lý nhiệt độ phát sinh từ cả 2 mặt.
Intel 18A - Nền tảng cho sự trở lại
Được coi như tiến trình công nghệ mang tính chất “bản lề”, Intel 18A đánh dấu sự trở lại của công ty bằng cách kết hợp 2 công nghệ đột phá - RibbonFET và PowerVia - tạo ra lợi thế cạnh tranh rõ rệt so với các đối thủ khác.

RibbonFET (Gate-All-Around GAA) là kiến trúc bán dẫn (transistor) thế hệ mới, thay thế cho FinFET đã được sử dụng trong nhiều năm qua. Trong RibbonFET, cổng (gate) bao quanh đường dẫn (channel) từ mọi phía (thay vì chỉ 3 phía như FinFET), cho phép kiểm soát dòng điện tốt hơn đáng kể. Cấu trúc này sử dụng các "ribbon" (dải băng) vật liệu bán dẫn xếp chồng lên nhau, cho phép tối ưu hóa linh hoạt giữa năng lượng, hiệu năng và diện tích (PPA - Power, Performance, Area) cho từng transistor cụ thể. Ưu điểm chính của RibbonFET so với FinFET có thể kể đến như: giảm dòng rò (leakage current), tăng mật độ bán dẫn và cải thiện hiệu năng trên mỗi watt (performance per watt) lên đến 15%. Tuy nhiên, việc chế tạo cấu trúc GAA mới phức tạp hơn đáng kể so với cấu trúc FinFET, đặt ra những thách thức không nhỏ về kiểm soát quy trình và năng suất.

Còn với PowerVia (Backside Power Delivery Network - BSPDN), Intel là cái tên tiên phong trong ngành công nghiệp đưa công nghệ cấp nguồn mặt sau (BSPDN) vào sản xuất hàng loạt. Thay vì các đường kết nối để cấp nguồn và tín hiệu phải "chen chúc" ở mặt trước của tấm wafer, PowerVia di chuyển toàn bộ mạng lưới cấp nguồn ra mặt sau wafer. Điều này mang lại nhiều lợi ích đáng kể như giải phóng không gian ở các lớp kết nối kim loại phía trước, cho phép tối ưu hóa định tuyến tín hiệu; giảm điện trở (resistance) và độ sụt áp (IR drop) trên đường cấp nguồn (>30%), giúp cải thiện hiệu năng (tăng mức xung hoạt động lên đến 6%) và hiệu quả năng lượng tốt hơn; tăng mật độ sử dụng cell lên hơn 90%; thậm chí có thể đơn giản hóa một số bước quang khắc phức tạp ở mặt trước, dẫn tới tiềm năng giảm chi phí sản xuất. Tuy nhiên, BSPDN cũng đi kèm với những thách thức kỹ thuật mới, bao gồm quy trình làm mỏng wafer (wafer thinning) đến mức cực thấp, tạo các đường kết nối xuyên silicon siêu nhỏ (nano-TSV), căn chỉnh chính xác giữa mặt trước và mặt sau (backside-to-frontside alignment) và quản lý nhiệt độ phát sinh từ cả 2 mặt.
Ở khía cạnh PPA, Intel tuyên bố tiến trình 18A mang lại sự cải thiện đáng kể so với Intel 3 trước đó, gồm hiệu năng cao hơn 25% ở cùng mức điện áp 1.1V, hoặc tiêu thụ điện năng thấp hơn 36% ở cùng xung hoạt động và điện áp 1.1V (đối với một khối nhân Arm tiêu chuẩn). Ở mức điện áp thấp hơn (0.75V), tiến trình Intel 18A cung cấp hiệu năng cao hơn 18% đồng thời giảm tới 38% mức tiêu thụ năng lượng. Bên cạnh đó, tiến trình Intel 18A đạt được tỷ lệ thu nhỏ diện tích (area scaling) 0.72 lần so với Intel 3 và mật độ bán dẫn tăng hơn 30%.

Tiến độ sản xuất của một tiến trình công nghệ mới luôn là chủ đề được khách hàng đặc biệt quan tâm và Intel 18A cũng không ngoại lệ. Tại sự kiện Direct Connect 2025, Intel xác nhận tiến trình 18A đã bước vào giai đoạn "risk production". Đây là một cột mốc quan trọng cho thấy công nghệ đã đủ trưởng thành để bắt đầu các đợt sản xuất thử nghiệm với khối lượng thấp. Việc sản xuất hàng loạt (HVM - High Volume Manufacturing) được lên kế hoạch vào cuối năm nay. Tuy nhiên, các báo cáo về năng suất (yield) của 18A vẫn còn nhiều mâu thuẫn. Một số nguồn tin trong ngành cho rằng yield còn ở mức thấp, dưới 10% hoặc 20-30% vào đầu năm 2025, kéo theo những nghi ngờ về khả năng đạt HVM đúng lịch trình. Ngược lại, phía Intel và một số nhà phân tích khẳng định yield đang tiến triển tốt và đi đúng kế hoạch. Phân tích từ SemiAnalysis, dựa trên defect density được báo cáo trước đó (0.4 def/cm²), ước tính yield có thể đạt 64% cho die CPU và 81% cho die GPU của Panther Lake. Ngay cả với die kích thước lớn chạm giới hạn reticle (858 mm²), yield của tiến trình Intel 18A cũng có thể đạt gần 50%. Đây là một yếu tố cực kỳ quan trọng, vì yield thấp sẽ làm tăng chi phí sản xuất và ảnh hưởng đến khả năng cạnh tranh về giá cũng như niềm tin của khách hàng.

Ngoài tiến trình 18A, Intel cũng giới thiệu các biến thể khác để đáp ứng nhiều nhu cầu đa dạng. Intel 18A-P là phiên bản tập trung vào hiệu năng (Performance), cải thiện 8% hiệu năng/watt so với 18A cơ bản và tương thích về quy tắc thiết kế. Các wafer thử nghiệm đầu tiên của 18A-P đã có mặt trong nhà máy Intel. Biến thể 18A-P dự kiến ra mắt trong năm 2026. Còn phiên bản Intel 18A-PT được xây dựng dựa trên nền tảng của Intel 18A-P, bổ sung khả năng hỗ trợ công nghệ đóng gói 3D tiên tiến Foveros Direct thông qua liên kết lai (hybrid bonding), có pitch kết nối dưới 5 µm. Phiên bản Intel 18A-PT dự kiến ra mắt sau hơn 2 năm nữa, vào 2028.
Intel 14A - Tiến vào kỷ nguyên High-NA EUV
Intel 18A là hiện tại, vậy còn ở tương lai, Intel đặt ra mục tiêu tiếp tục dẫn đầu công nghệ trong ngành với tiến trình Intel 14A, dự kiến đi vào giai đoạn risk production (sản xuất thử nghiệm) năm 2027. So với Intel 18A, tiến trình Intel 14A hứa hẹn mang lại những cải tiến đáng kể về khía cạnh PPA, trong đó hiệu năng/watt tăng 15 - 20%, giảm tới 25 - 35% mức tiêu thụ năng lượng, đồng thời mật độ bán dẫn tăng 1.3 lần. Intel 14A sẽ áp dụng các công nghệ đột phá chủ đạo gồm High-NA EUV, RibbonFET 2, PowerDirect và Turbo Cells.

Intel 14A sẽ là tiến trình đầu tiên sử dụng công nghệ quang khắc siêu cực tím khẩu độ số học lớn (High Numerical Aperture Extreme UltraViolet Lithography) với máy quang khắc do ASML cung cấp. Cuối năm 2023, Intel đã chính thức sở hữu hệ thống Twinscan EXE:5000 (bản thử nghiệm - pilot) đầu tiên trên thế giới, mang lại những ưu thế nhất định trước các đối thủ khác. Dù chỉ là hệ thống sản xuất thử nghiệm chứ chưa phải bản thương mại (Twinscan EXE:5200) nhưng sở hữu sớm sẽ mang lại lợi thế lớn trong việc giúp các kỹ sư Intel "làm quen" trước với dây chuyền mới, tạo đà "rút ngắn tiến độ" khi EXE:5200 chính thức có mặt trong các fab của Intel - đúng kế hoạch sẽ được ASML bàn giao trong năm 2025.

Khác biệt chính giữa High-NA EUV và Low-NA EUV là hệ quang học, trong khi các máy Low sử dụng hệ quang học 0.33 NA thì máy High dùng hệ quang học 0.55 NA. Nhờ hệ thống quang học phức tạp hơn nên thế hệ Twinscan EXE:5200 có khả năng chiếu ánh sáng EUV lên tấm wafer với độ phân giải quang khắc cao hơn (8 nm so với 13.5 nm của Twinscan EXE:3400D), cho phép tạo ra các cấu trúc bán dẫn nhỏ hơn và dày đặc hơn. Tuy nhiên, chi phí đầu tư khổng lồ cho mỗi máy High-NA EUV (gần 400 triệu USD) và những thách thức cũng như thay đổi về kích thước mask, dụng cụ đo đạc, vật liệu phủ màng và hệ sinh thái vật liệu (như chất cản quang - resist) cùng với công cụ kiểm định vẫn là những rào cản lớn cần vượt qua. Intel dự kiến sẽ áp dụng High-NA EUV một cách chọn lọc cho một số lớp quang khắc quan trọng nhất trên tiến trình 14A.
RibbonFET 2 là thế hệ thứ 2 của kiến trúc bán dẫn GAA, hứa hẹn mang lại nhiều cải tiến hơn nữa so với RibbonFET đang được áp dụng cho tiến trình Intel 18A. Trong khi đó thế hệ kế tiếp của công nghệ cấp nguồn mặt sau - PowerDirect - sẽ mang lại hiệu quả tốt hơn so với PowerVia, kết nối trực tiếp tới các cực source và drain của bán dẫn thông qua những tiếp điểm chuyên dụng. Cải tiến này nhằm giảm thiểu điện trở và tối đa hóa hiệu quả cấp nguồn.

Ở tiến trình Intel 14A sẽ có 1 khái niệm mới là Turbo Cells. Turbo Cells là một phương pháp thiết kế thư viện cell tùy chỉnh. Nó cho phép các nhà thiết kế tối ưu hóa sự pha trộn giữa các cell hiệu năng cao (nhanh hơn nhưng tốn năng lượng hơn) và các cell tiết kiệm năng lượng (chậm hơn nhưng hiệu quả hơn) trong cùng một khối thiết kế. Đặc biệt, Turbo Cells nhắm vào việc tăng cường hiệu năng cho các đường dẫn tín hiệu quan trọng (critical paths) - những đường dẫn chậm nhất quyết định mức xung hoạt động tối đa của CPU hoặc GPU - bằng cách tăng dòng điều khiển (drive current) cho các thư viện cell mật độ cao (short libraries) khi chúng được sử dụng để tạo các thư viện cao gấp đôi (double-height libraries). Điều này cho phép đạt được xung hoạt động cao hơn mà không phải hy sinh quá nhiều về mật độ hoặc năng lượng tổng thể. Đừng nhầm lẫn giữa Turbo Cells và Turbo Boost, trong đó Turbo Cells là một khái niệm về thiết kế cell, hoàn toàn khác với công nghệ Turbo Boost cho phép tăng xung nhịp hoạt động của CPU. Hiện tại Intel đã bắt đầu làm việc với đối tác và khách hàng về tiến trình 14A, bên cạnh đó cũng cung cấp bộ công cụ thiết kế tiến trình mới (PDK - Process Design Kit).
Quảng cáo
Các tiến trình trưởng thành

Không chỉ tham gia đường đua tiến trình công nghệ tiên tiến nhất, Intel Foundry cũng nhận thức được tầm quan trọng của việc cung cấp các tiến trình đã trưởng thành (mature node) với chi phí cạnh tranh. Các tiến trình này đã đạt được “độ chín” hoàn hảo, có chi phí hợp lý và thường được sử dụng cho những nhu cầu không quá quan trọng về công nghệ mới hay mật độ bán dẫn cao. Tiến trình Intel 16 hiện đã có tape-out sản xuất đầu tiên trong nhà máy, dựa trên FinFET. Còn với tiến trình 12 nm, Intel hợp tác chiến lược cùng UMC (United Microelectronics Corporation) - công ty gia công bán dẫn ở Đài Loan. Tiến trình Intel 12 được đồng phát triển với UMC và sản xuất tại các nhà máy Intel Fab 12, Fab 22 và Fab 32 tại Arizona, kết hợp giữa kinh nghiệm về FinFET của Intel và năng lực cung cấp dịch vụ gia công bán dẫn của UMC. Dự kiến sản xuất trên tiến trình Intel 12 sẽ đi vào hoạt động từ năm 2027. Việc hợp tác với UMC ở tiến trình Intel 12 giúp công ty mở rộng thêm nhiều lựa chọn sản phẩm, phục vụ các thị trường đang tăng trưởng cao như di động, hạ tầng mạng, ngoài ra cũng là 1 lựa chọn mang tính địa lý nếu như khách hàng có yêu cầu. Ở phía UMC, hợp tác với Intel sẽ mang lại cơ hội tiếp cận công nghệ FinFET tiên tiến, mở rộng sự hiện diện của UMC tại Mỹ trong khi không cần đầu tư xây dựng nhà máy mới.
Lộ trình công nghệ của Intel rõ ràng là rất tham vọng, cho thấy được quyết tâm mạnh mẽ trong việc giành lại vị thế dẫn đầu ngành công nghiệp bán dẫn. Việc tích hợp đồng thời cả GAA (RibbonFET) và BSPDN (PowerVia) vào Intel 18A, sau đó nhanh chóng chuyển sang High-NA EUV và BSPDN thế hệ 2 (PowerDirect) trên Intel 14A, là một chiến lược "nhảy vọt" về mặt công nghệ. Đây cũng là thứ mà không chỉ các khách hàng, đối tác mà cả người tiêu dùng đang chờ đợi - kết quả của việc áp dụng High-NA EUV. Nếu thành công, Intel có thể tạo ra lợi thế PPA đáng kể so với các đối thủ vốn có xu hướng triển khai công nghệ mới một cách tuần tự hơn (chẳng hạn như TSMC đưa GAA vào N2 nhưng BSPDN vào A16). Tuy nhiên, chiến lược này cũng tiềm ẩn rủi ro lớn về mặt kỹ thuật và năng suất, đòi hỏi khả năng thực thi hoàn hảo. Intel vẫn có được lợi thế nhất định khi đã sở hữu và làm quen với High-NA EUV trong khoảng thời gian dài trước khi các đối thủ tiếp cận máy quang khắc tương tự từ ASML.

Sự xuất hiện của các biến thể tiến trình như 18A-P, 18A-PT và sự hợp tác ở tiến trình 12 nm với UMC cho thấy Intel đang cố gắng xây dựng và cung cấp dải sản phẩm đa dạng hơn, giống với mô hình kinh doanh của TSMC, nhằm phục vụ nhiều phân khúc khách hàng foundry khác nhau. Đây là một bước đi cần thiết để cạnh tranh hiệu quả trong thị trường gia công bán dẫn vốn gần như bị độc chiếm bởi TSMC trong thời gian dài.
Cuộc đua về PPA giữa Intel, TSMC và Samsung ngày càng trở nên phức tạp. Trong khi TSMC N2 có thể dẫn trước về mật độ bán dẫn và SRAM, lợi thế về công nghệ cấp điện mặt sau của Intel 18A/14A có thể mang lại hiệu năng và hiệu quả năng lượng vượt trội, đặc biệt trong các ứng dụng HPC và AI. Cuối cùng, khả năng tối ưu hóa tổng thể cho từng ứng dụng cụ thể thông qua các thư viện cell (như Turbo Cells) và công cụ thiết kế điện tử tự động hóa (EDA - Electronic Design Automation) sẽ quyết định tiến trình nào mang lại lợi thế cạnh tranh thực sự cho khách hàng.